#도면 #물리 #단위 #유압 #정밀 #각속도 #인장시험 #인젝션 #전류 #사출 #바이메탈 #원둘레 #인장 효과 #인장시험 개요 #원운동 #구심력 #궤도 #인장 #정확 #탄성 #공정 #고무 #센서 #전압 #배관 #온도계 #도장 #암호 #전자 #볼륨 #주파수 #전기 #교류 #우주 #한국은행 #금 #수익인증방법 #기관지 저하 #달 뒷면 #不惑 #정보통신 산업 #최초의 국가위성 #우주 인터넷 방송 #한국 집값 #절대 금물 #blockchain #블록체인 #기준 금리 #다누리 #전압의 단위 #쿨롱 #부전하 #구리원자로 #유체이론 #에보나이트 #전기적 성질 #전개도면 #투시투상법 #사투상법 #등각 투상법 #정투상법 #입체도법 #평면도법 #파단선 #해칭 #치수선 #외형선 #척도의 종류 #도면의 구성요소 #제도기 #도면의 종류 #도면의 기능 #직각의 3등분 #정삼각형 #선분의 등분 #평각의 이등분 #크기가 같은각 #선분의 수직이등분선 #각의 이등분선 #솔류션 #부가가치재판업자 #B버전 #사즈 #프로젝트 매지니먼트 프로페셔널 #커스터마이즈 #거래진행과정 #거래조건 #상품지식 #Insurance Policy #I/P #검사증명서 #Inspection Certificate #I/C #Certificate of Orign #화물항공운송장 #Air Waybill) #AWB #신선대 부두 #Pusan East Container Terminal #PECT #자성대부두 #부산컨터이너 부두 운영공사 #Busan Container Terminal Operation Company #BOTOC #Forwarder #Lashing Charge #Shoring & Lashing #권리포기선하증권 #Surrendered B/L #화물선취보증장 #Letter of Guarantee #운임톤 #Revenue Ton #운임산출중량 #Chargeable Weight #C/W #화물인도지시서 #Delivery Order #Handling Charge #H/C #D/O 전송료 #EDI Charge #자유장치기간 #지체보관료 #Over Storage Charge #지체료 #Detention Charge #선주거래) #화주거래 #체선료 #체화료 #Demurrage Charge #Container Cleaning Fee #착지불수수료 #Collect Charge Fee #CCF #전쟁위험할증료 #War Risk Surcharge #WRS #파나마운하통과료 #Panama Canal Transit Charge #성수기할증료 #Peak season Surcharge #미국세관사전신고수수료 #AMS Charge #미 관세청의 적하목록시스템 #Automatic Manifest System #보안할증료 #Security Surcharge #IATA Area #Fuel Surcharge #Feul Adjustment Factor #FAF #Bunker Adjustment Factor #컨터이너세 #Container Tax #CON'T TAX #무역항의 항만시설사용 및 사용료에 관한 규정 #부두사용료 #화물입출항료 #Wharfage #W/F #Terminal Handling Charge #서류 발급비 #Document Fee #DOC Fee #D/O Fee #CFS 작업료 #CFS Charge #CFS(Container Freight Station) #On-Dock CY #ODCY #컨테이너 집하장 #CY(Container Yard) #ICD(Inland Container Deport) #ODCY(Off Dock Container Yard) #CONSOL(Consolidation) #Joint Consolidation #CO-Loading #Less than Container Load #LCL Cargo #FCL Cargo #Full Containet Load #Letter od Guarantee #화물선취보증서 #P/L #C/I #Bill of Lading #Shipping Documents #전자조리기 #품질표시 #맴돌이 전류 #통전시험 #회로시험기 #검파회로 #증폭회로 #장래지정형 #자동확정형 #지정형 #근저당권 결산기 #채권최고액 #한정근담보 #특정근담보 #피담보 채무 #후순위권리자 #우선 변제권 #총부재금액 #대출건 #부채총액 #우수고객 신용대출 #연간소득 금액 #총대출금에 대한 연간 이자금액 #Debt Service Ratio #담보가액 #소액임차 보증금 #선순위채권 #담보대출금액 #담보 가치 대비 대출비율 #Loan To Value #하이힐드 #우수한 펀드 #신탁금 #설정액 #Customized Portfolio #맞춤포트폴리오 #Nomal Portfolio #정상포트폴리오 #Synthesized Index #합성지수 #Sector Index #섹터/style 지수 #Market Index #시장지수 #Benchmark Index #유형초과 #BM초과 #총신탁 보수율 #sharpe ratio #시장민감도 #활성 볼륨 활성 파티션 #확장 파티션 #확장 문자 #확인자 #화면 해상도 #홈 홀더 #호환 모드 #호출자가 설정 콜백 #호스트 이름 #호스트 우선 순위 #허브 모드 #핫 도킹 #할당되지 않은 공간 #할당 단위 #하위 키 #하드웨어 프로필 #하드웨어 종류 #하드웨어 압축 #하드웨어 구성 #필터키 #필기 입력 장치 #플로터 글꼴 #프린터 창 #프린터 사용 권한 #프린터 및 팩스 #프린터 글꼴 #포함 개체 #포스트스크립트 프린터 #포스트스크립트 글꼴 #페이지된 풀 #페이지 부재 변화량 #페이지 부재 #팩스 서비스 #패리티 검사 #파일 형식 #파일 할당 테이블(FAT) #파일 전송 프로토콜(FTP) #파일 이름 확장명 #암호화 파일 시스템(EFS) #파일 파일 시스템 #특별 액세스 권한 #트러스트 관계 #트랜잭션 메시지 #트랜시버 #통화 대기 #종합 정보 통신망(ISDN) #통신 포트 #통신 설정 #텍스트 서비스 #터널 서버 #탑재된 드라이브 #키보드 언어 #클래스 B IP 주소 #클래스 A IP 주소 #콘솔 트리 #케이블 직접 연결 #컴퓨터 관리자 #갭처 #카트리지 글꼴 #최고 메모리 사용 #초기 마스터 #직렬키 장치 #직렬 허브 #직렬 포트 #지점간 프로토콜(PPP) #지점간 터널링 프로토콜(PPTP) #주변 기기 #주 디스크 #제목 표시줄 #정적 대화 상자 #전화 접속 연결 #전체 이름 #전원 구성표 #적외선(IR) #적외선 포트 #적외선 파일 전송 #적외선 장치 #재입력 시간 #장치 드라이버 #장치 글꼴 #장치 관리자 #작업 표시줄 단추 #작업 그룹 #작업 개체 #자판 배열 #입으로 불거나 당겨서 작동시키는 호흡 감지 입력 장치 #입력 언어 #입/출력(I/O) 포트 #일반 텍스트 #인터넷 프로토콜(IP) #인터넷 주소 #인터넷 정보 서비스(IIS) #인터넷 서비스 공급자(ISP) #인증서 해지 목록(CRL) #인증서 저장소 #인증 기관(CA) #인증 계층 구조 #인쇄 풀 #인쇄 처리기 #인쇄 작업 #인쇄 스풀러 리소스 #인쇄 스풀러 #인쇄 서버 #인쇄 대기열 #이중 부팅 #이름 확인 #이름 공간 #이동식 저장소 #이더넷상의 지점간 프로토콜(PPPoE) #유니코드 문자 시스템(UCS) #유니캐스트 #유니버설 그룹 #원본 문서 #오디오 입력 장치 #연속 확장 메모리 #연결된 개체 #연결되어 인증받은 사용자 #액티브 컨텐트 #압축 풀기 #암호화(Encryption) #알림 영역 #시작 환경 #시스템 파티션 #시스템 액세스 제어 목록(SACL) #시스템 볼륨 #시스템 디스크 #시스템 대기열 #시간 서버 #스풀링 #스팬 볼륨 #그크랩 #스위칭 허브 #스위치 종류 #스마트 카드 판독기 #스레드 수 #수체계 #소리 표시 #소리 탐지 #세 가지 벡터 글꼴 #서비스 프로필 식별자(SPID) #서버 메시지 블록(SMB) #색 프로필 #색 범위 #색 농도 #상호 연결 #상대 ID(RID) #삽입 지점 #사전 공격 #사운드 파일 #사용자(Users) #사용자(User) #사용자 프로필 #사용자 주체 이름 접미사 #사용자 주체 이름 #사용자 이름(user name) #사용자 암호 #사용자 권한 #사용 권한(Permission) #비트(2진수) #비디오 어댑터 #비 플러그 앤 플레이 #부팅 파티션 #부팅 볼륨 #복제본 #복제 세트 #복원 지점 #복구 콘솔 #복구 에이전트 #보안 ID(SID) #보안 호스트 #보안 사용자 이름 #보안 사용자 #보안 그룹 #병렬 포트 #변조 표준 #변경(Make Changes) #벡터 글꼴 #배달 못한 편지의 트랜잭션 대기열 #배경 무늬 #바탕 화면 #미리 지정된 콜백 #미리 보기 #미러 볼륨 #문자 모드 #무정전 전원 공급 장치(UPS) #모뎀(변조기/복조기) #모뎀 압축 #명령 프롬프트 창 #메모리 대기열 #멀티링크 전화 걸기 #마우스키 #마우스 오른쪽 단추 클릭 #파스터 파일 테이블(MFT) #마스터 부트 레코드(MBR) #리소스 DLL #리소스 종류 #리소스 도메인 #루트 인증서 #루트 인증 기관 #로컬 그룹 #로드 균형 조정 #로그온 스크립츠 경로 #로그 파일 #렌더링 여부 #래스터 글꼴 #디지털 서명 #디지털 비디오 디스크(DVD) #디스플레이 어댑터 #디스크 조각 #디렉터리 파티션 #등록된 파일 형식 #동적 저장소 #동적 연결 라이브러리(DLL) #동적 볼륨 #동적 디스크 #동기화(Synchronize) #도킹 해제 #도메인 트리 #도메인 이름 공간 #도메인 로컬 그룹 #도구 모음 #더블 바이트 문자 #대칭 암호화 #대상 문서 #단일 스위치 장치 #단일 서명 #단순 TCP/IP 서비스 #단순 볼륨 #다중 홈 컴퓨터 #다중 부팅 #다운로드 가능 글꼴 #다시 부팅 #논리 프린터 #네트워크 프로토콜 #네트워크 파티션 #네트워크 카드 드라이버 #네트워크 이름 리소스 #네트워크 암호 #네트워크 관리자 #널 모뎀 케이블 #내부 네트워크 번호 #긴 이름 #기본 저장소 #기본 사용자 #기본 볼륨 #기본 마우스 단추 #기본 디스크 #기록가능 CD #글로벌 그룹 #글꼴 카트리지 #그룹 정책 개체 #그룹 이름 #그룹 구성원 #그룹 계정 #권한(Privilege) #광대역 통신망(WAN) #광대역 연결 #공통 그룹 #공유 프린터 #공유 폴더 사용 권한 #공유 리소스 #공개 키 암호화(Public key Cryptography) #고아 파일 #계층 2 터널링 프로토콜(L2TP) #게임 포트 #검사점 #개인 키 #가상 IP 주소 #가상 프린터 메모리 #가상 메모리 크기 #10BaseT #10Base2 #100Baset #해드앤 숄드형 #역해드앤 숄드형 #주가 패턴 분석 #투자심리선 #OBV 지표 #하락쐐기형 #나스탁 #블루 칩 #사정비율 #대용증권 #대용가 #주식 매입 #공모주 청약 배정 #보통즈 #재무구모 #감자차익 #실제 주주 #시장수익률 #분배율 #포트 폴리오 #주가변동 준비금 #형광 특색 #히트 프레스 #화이트 메탈 #화이트 글루 #핫 블로워 #핫 나이프 #하이테크 모델 #하이브리드 키트 #플라페이퍼 #플라봉 #플라 캐스트 #풀 스크래치빌딩 #페인트 마커 #폴리에스터 퍼티 #폴리글러브 #플라스틱 퍼티 #피스 테이프 #프로포션 #파팅 라인 #트리거 방식 #텍스춰 #탑 코트 #탈크 가루 #클리어 파트 #클리어 컬러 #클리어 본드 #콤푸레서 #코르크 파우더 #컨버터블 키트 #커팅 매트 #캔 스프레이 #캐릭터 모델 #치석고 #주형제 #조색 스틱 #정크 파트 #접합선 수정 #접합선 #전동 공구 #N 게이지 #AFN #ABS 수지 #웨더링 컬러 세트 #우레탄 클리어 #와이어 브러시 #옵션 파트 #오픈 리오라마 #오븐 점토 #에칭 부품 #에폭시 접착제 #에어 호스 #에어봄베 #언더 컷 #액체 퍼티 #아크릴 커터 #아크릴 컬러 #아트 나이프 #싱글 액션 #실리콘 복제 기법 #실리콘 고무 #시스템 인젝션 #슬라이드 마크 #슬라이드 금형 #스티렌 보드 #스트레이트 빌딩 #스텐실 기법 #스테틱 그래스 #스태핑 #스크래치빌딩 #스케일 다운 #스케일 업 #스냅키트 #솔리드 모델 #소프트 비닐용 컬러 #소프트비닐키트 #소프트 메탈 #서클 커터 #보까시 #보턴 방식 #버큐 폼 키트 #반건조 현상 #박스 아트 #박스 디오라마 #미터 스케일 #무수지 접착제 #무발포 우레탄 수지 #무광택제 #모터라이즈드 #모터 공구 #모크 업 #모델링 페이스트 #면상필 #매트 미디움 #메탈 인형 #메탈 프라이머 #메탈 부품 #메탈릭 컬러 #먹선 넣기 #마스킹 졸 #마크 소프터 #마이너 컨버젼 #마른 붓질 #리퀴텍스 #리플리카 #리타더 #리엔그레이빙 #로스트 왁스 #레터링 세트 #레진 키트 #레이저 소우 #레이 아웃 #러프 베이스 #락카 퍼티 #디테일 업 #디스플레이 모델 #드라이 브러싱 #드라이 데칼 #드라멜 #데칼 세터 #더블액션 #다크닝 #다이 캐스팅 #논 스케일 #개라지 키트 #가루 석고 #모델링 용어 #UHV #Ultra High Vacuum #Super Ultra High Vacuum #Very High Vacuum #High Vacuum #Medium Vacuum #Evaporator #LPCVD #초고진공 #진공의 단계 #정상증발 #증발물질 #활성분자 #박막물질 #분자의 집합체 #진공상태의 정도 #연료 소비 #예비키 #교통사고 신고 #라지에타 #팬벨트 #전련 기어 #브레이크마스타 #인젝트 크리닝 #연료 필터 #디퍼렌셜 오일 #기어오일 #휠 얼라이어먼트 #클러치와 핸들 #퓨즈 전구 #여햄 자동차 점검 #여행 점검 #성능곡선 #이음매의 연결상태 #배관계통 #종합손실수두 #부차적손실수두 #마창손실수두 #손실수두 #물탱크의 수면 #배관의 계통 #내부 표면상태 #배관의 직경 #유체의 비중량 #자연낙차 #배관의 유속 #플러그밸브 #플로트 밸브 #역지변 #나이밸브 #밸브의 특징 #밸브의종류 #NQR온도계 #수정온도계 #유리온도계 #감온페라이트 #IC온도센서 #방사 온도계 #서미스터 #쾌적지표 #흐름센서 #연기센서 #산소센서 #연료잔량경보 #전자 체온기 #누수센서 #광감응기능 #응력감응기능 #전계감응기능 #가스센서 #직선성보정 #리니아라이즈 #전압출력 #전류출력 #전송출력 #3선식 #냉접점 #열응답 #번-아우트 #비례동작 #PID동작 #미분동작 #적분시간 #옵셋트 #최적제어 #외란 #동특성 #정특성 #온도측정법 #MCCB #전열응용장치 #산업용 전기히터 #콤프레셔 용량 조견표 #콘넥팅 로드 베어링 #V 패킹 #피스톤링 #오일 게이지 #모터선정 #V 밸트 장력 #액트랩 #콤프레셔 배관방법 #이송원리 #복귀도 #스웨징 #사출 라인 #사출시간 #가류시간 #철판규격 #에너지 자원 #기계 에너지 #원통 보일러 #수관 보일러 #원전 연료 #감속재 #냉각재 #제어봉 #가열장치 #동소변태 #조질화 #Arcm #Accm #담금질 #탄소함유량 #오스테나이트 #공석변태 #상자성체 #강자성체 #시멘타이트 #탄소함량 #평행상태도 #도선의 전기 #도선의 전기저항 #전류의 세기 #전압의 크기 #전압값 #조선기술 #맹판 #거주 설비 #합격품질수준 #기선상 #절대압력 #비례상수 #EPMA #템플레이트 #혼재작업 #구동 장치 #에어 필터 #중국발 미세먼지 #타임스탬프 #임차주택 #포장명세서 #상업송장 #전기적신호 #진공조 #콤파운드 #오버슈트 #전하량 #버니어 캘리퍼스 #열용량 #고진공 #예금 이자 #배치 프로그램 #풀림 #토글키 #전기 에너지 #고정키 #메신저 서비스 #활성 #프랭크린 #전기저항 #케이블 모뎀 #볼 조인트 #메타데이터 #웹 서버 #포스트스크립트 #인명구조 #글로브밸브 #예금이자 #최우선변제권 #네트워크 어댑터 #TEM #니들밸브 #유압기계 #온도제어 #레벨센서 #보상도선 #습도센서 #열전대 #도메인 이름 #진공장치 #대화 상자 #누리호 #소행성 충돌 #PSS #기화 #브로드캐스트 #진공상태 #페라이트 #대기열 #전송 속도 #결합력 #챔버 #버터플라이 밸브 #네트워크 서비스 #자속 #확장 메모리 #플러그 앤 플레이 #스테인 #해킹예방 #누전차단기 #자기센서 #임차보증금 #도킹 스테이션 #세터 #스마트 카드 #옐로칩 #이진법 #플로피 디스크 #애나멜 #무선 통신 #화면 글꼴 #스냅인 #말소등기 #마스킹 테이프 #주 파티션 #쉐도잉 #R/T #게이트밸브 #c/o #AMS #구주 #디바이더 #안정도 #Rie #RFP #변속기 #옴의법칙 #다양한 문화 #비네트 #임금채권 #드라이브 문자 #가상사설망 #채도 #비상조치 #Surrender B/L #wine bar #강관 #유압모터 #SSR #전동기 #열에너지 #주철관 #형상화 #compressor #투자고수 #미수금 #free time #볼밸브 #sputter #탑재 #스팸 전화 #보험증권 #경상이익 #장치 #광속 #폴리카보네이트 #PVC #근저당권 #스크류 #온도센서 #압력센서 #주주총회 #동시호가 #수포 #대항요건 #사출성형 #부하 #암페어 #냉각수 #프리보드 #STM #동조회로 #지주 #반송파 #진폭 #송신 #추세선 #GPT #와셔액 #대출 이자 #기계산업 #마스킹 #제조공정 #DSR #부도체 #도체 #가압 #자기력 #자본총계 #납입자본금 #lpm #샌딩 #권한 부여 #std #도선 #힌지 #그룹 정책 #마흔 #트랩 #임차인 #GPM #조도 #척도 #선적서류 #개체 #중진공 #웨더링 #하이라이팅 #채권형 #수탁회사 #기전력 #에어브러시 #wbs #자본잉여금 #배당락 #석면 #박막 #취급수수료 #중량 #결합 #HV #VAR #런너 #에폭시 퍼티 #LCL #서브넷 마스크 #최소화 #비저항 #신주 #시스템 복원 #변조 #상관습 #운송 #사고방지 #D/O #대금결제 #L/G #포워더 #B/L #packing list #commercial invoice #자기장 #최대화 #응축 #컨버젼 #허용전류 #전압강하 #밑도장 #서페이서 #벤더 #하이브 #메쉬 #선하증권 #공유 폴더 #공기분자 #소행성 #탄소 #대기오염 #스케일 #베르누이 #우레탄 #유압펌프 #유류할증료 #스크래치 #블렌딩 #강철 #바이트 #플로터 #마스터 서버 #운전상식 #고도 #연준 #증거금 #니퍼 #클램프 #포괄근담보 #금융기관 #조각 모음 #루트 #마더보드 #논리 드라이브 #레이블 #전자회로 #몰드 #신용장 #콤프레셔 #트랜지스터 #신너 #도료 #펌프 #CAF #에폭시 수지 #스페이서 #주상변압기 #변압기 #기압 #엔진오일 #이형제 #진공펌프 #그라인더 #금형 #우드락 #펀치 #커터 #송풍기 #스팸메세지 #중력가속도 #진공 #손절매 #게이트웨이 #고수익 #접지 #PCS #스티로폼 #토폴로지 #광센서 #감도 #파스텔 #제도용구 #광고 수익 #백금 #연료 #버핑 #증권거래소 #다이오드 #회전 #안정기 #FCL #프로토콜 #실권주 #락카 #경화제 #퍼티 #버퍼 #레진 #가조립 #호스트 #마운트 #고대비 #작업 표시줄 #빠른 실행 #xps #EPS #제조 #난이도 #음주운전 #배기 #한국어 능력시험 #압축기 #질량 #게르마늄 #통관 #용접 #최대 절전 모드 #대기 모드 #총부채상환비율 #스팸문자 #리소스 #열역학 #인공지능 #증발 #LTV #Debt To Income #DTI #평행선 #패킷 #실리콘 #와인바 #TEMP #존중 #수조 #내문서 #은 #탭 #라우터 #디오라마 #창 #코일 #마스터 #복제 #패가망신 #AVG #대역폭 #SSC #인덱스 #핀바이스 #불혹 #그라데이션 #분리 #수선 #액면분할 #마스크 #보완 #포트 #채권혼합형 #주식혼합형 #심장병 #평균수익률 #하드 디스크 #순간접착제 #출력 #스프레이 #알루미늄 #워싱 #저항 #원산지증명서 #가스 #헌팅 #유상증자 #철강 #텔넷 #도킹 #사운드 카드 #트랜잭션 #휴지통 #클릭 #허브 #브레이크 #블록 #인터럽트 #가상메모리 #라이브러리 #베이스 #관리자 #콘덴서 #서체 #개조 #FE #SD #LPG #인증서 #연산자 #도색 #방화벽 #조립 #리벳 #마법사 #실무자 #해제 #리버스엔지니어링 #감전 #배터리 #자작 #유니코드 #철 #인트라넷 #음력 #프린터 드라이버 #클라이언트 #펑크 #대류 #인정 #폴더 #네트워크 #생활습관 #키 #그룹 #이자 #중앙은행 #인공위성 #타이어 #발사 #원소 #드라이브 #작업 관리자 #인증 #스크립트 #기계 #색상 #변환 #권리락 #제거 #실루엣 #아파트 #파티션 #우량주 #우선주 #보통주 #PMP #보합 #잉크 #통신 #볼트 #미니어쳐 #대차대조표 #사용자 계정 #초기화 #하드웨어 #바로가기 #보일러 #레지스트리 #스크립트 파일 #미세먼지 #서버 #백업 #페이징 파일 #미디어 #한국어 #해커 #애드센스 #외국어 #게이트 #디스크 #나무 #프로그램 #캐드 #이해 #은행 #속도 #데칼 #패키지 #프린터 #브라우저 #글꼴 #도메인 #오프라인 #백신 #스팸 #시험 #예약 #하이퍼링크 #황사 #반도체 #전도 #소리 #터널 #냄새 #유리 #픽셀 #철판 #버스 #달력 #서비스 #해킹 #SaaS #훈련 #달 #구리 #수지 #여행 #온라인 #채널 #부팅 #설치 #공유 #배경 #선택 #아이콘 #수성 #채권 #금값 #무역 #이동평균선 #정책 #합병증 #당뇨병 #금리 #주식 #주식형 #표준편차 #투자신탁 #샤프지수 #펀드상품 #mmf #대출이자 #메모리 #코스닥 #CMA #와인 #대출 #보험 #캐스팅 #다운로드 #운동 #Torque #fsc #CM #변화 #인터넷 #티스토리 #MBE #roe #thc #sem #PCR #PER #SOLUTION #gas #EVA #Ai #MV